Laporan Praktikum Praktek Elektronika Digital 1 [PDF]

  • 0 0 0
  • Suka dengan makalah ini dan mengunduhnya? Anda bisa menerbitkan file PDF Anda sendiri secara online secara gratis dalam beberapa menit saja! Sign Up
File loading please wait...
Citation preview

LAPORAN PRAKTIKUM PRAKTEK ELEKTRONIKA DIGITAL 1 “Dekoder Biner ke HeksaDesimal” Dosen Pengampu: Mohammad Luqman



Disusun oleh :



Nama



: Sayyid Akhmad



Kelas



: D4TE-1A



NIM



2041170042



PROGRAM STUDI D4 TEKNIK ELEKTRONIKA JURUSAN TEKNIK ELEKTRO POLITEKNIK NEGERI MALANG 2020/2021



A. Tugas



Karena momor presensi 5 berarti tugas Kode B dengan Tampilan B menggunakan 7segment common anoda



B. Dasar Teori Aljabar Boolean adalah salah satu cabang dari ilmu aljabar yang dipelajari di matematika ajlabar. Aljabar Boolean pertama kali diperkenalkan oleh seorang ahli matematika Inggris yang bernama George Boole (2 November 1815 – 8 December 1864) dalam tulisannya yang berjudul An Investigation of Law of Thought pada tahun 1854. Aljabar Boolean menggunakan nilai 1 dan 0 sebagai input dan output. Nilai 0 dan 1 ini sesuai dengan sistem bilangan biner. Aljabar Boolean merupakan dasar dalam mendesain rangkaian digital dan digunakan pada peralatan komputasi moderen saat ini. Dalam rangkaian elektronika digital, kondisi “1” dinyatakan dengan tegangan 5V dan kondisi “0” dinyatakan dengan tegangan 0V atau dapat juga dengan kondisi OFF sebagai logika “0” dan ON sebagai logika “1”. Terdapat 2 jenis teorema di dalam aljabar Boolean yaitu teorema variabel tunggal dan teorema variabel jamak. Enkoder atau penyandi adalah rangkaian digital yang mempunyai fungsi yang berlawanan dengan rangkaian dekoder. Rangkaian encoder mempunyai sejumlah masukan yang pada suatu saat hanya ada satu masukan yang boleh aktif. Keluaran enkoder ini adalah bit jamak terkode yang akan dibangkitkan tergantung pada masukan yang diaktifkan. Enkoder merupakan rangkaian logika yang berfungsi mengubah data yang ada pada inputnya menjadi kode-kode biner pada outputnya. Salah satu jenis sistem kode yang digunakan dalam sistem digital adalah sistem binery-coded decimal (BCD). 1. Teorema Variabel Tunggal Teorema variabel tunggal diturunkan dari operasi logika dasar OR, AND dan NOT, tabel berikut ini menunjukkan teorema variabel tunggal aljabar Boolean.



2. Teorema Variabel Jamak Teorema variabel jamak umumnya sama dengan teoerama pada aljabar biasa. Teorema variabel jamak dapat dilihat pada tabel berikut ini.



Gerbang NOR dan NAND bersifat universal, artinya gerbang NOR dan NAND dapat dirangkai untuk menyusun semua gerbang logika. Berikut rangkaian gerbang NOR dan NAND untuk membentuk rangkaian – rangkaian logika NOT, AND dan OR. 1. Rangkaian logika NOT yang dibentuk dari gerbang logika NOR dan NAND. Gambar rangkaian dibawah ini menunjukkan logika NOT yang dibentuk oleh gerbang NOR dan NAND



2. Rangkaian logika OR yang dibentuk dari gerbang logika NOR dan NAND. Gambar rangkaian dibawah ini menunjukkan logika OR yang dibentuk oleh gerbang NOR dan NAND.



3. Rangkaian logika AND yang dibentuk dari gerbang logika NOR dan NAND. Gambar rangkaian dibawah ini menunjukkan logika AND yang dibentuk oleh gerbang NOR dan NAND.



Dalam sistem kode ini, masing-masing digit angka desimal diganti dengan suatu kombinasi 4-bit biner. Salah satu dari jenis kode BCD adalah desimal dikode biner asli atau BCD 8421.



Dalam sistem BCD 8421 digunakan enkode desimal ke BCD, sedangkan untuk menemukan kembali atau menafsirkan kode-kode tersebut dalam bentuk desimal, diperlukan dekoder BCD ke desimal. Selain dekoder BCD ke desimal, secara praktis tersedia pula dekoder dari BCD ke desimal. Peraga desimal berupa piranti LED dengan 7-segmen. Untuk menggerakkan peraga tersebut diperlukan dekoder dari BCD ke peraga LED 7- segmen. Aplikasi enkoder ini misalnya papan ketik yang terdiri atas karakter, angka, dan karakter khusus yang kira-kira terdiri atas 84 karakter. Setiap karakter dipilih dengan menekan sebuah tombol pada papan ketik (keyboard), selanjutnya enkoder akan mengubah setiap masukan ke sandi biner tertentu.



Karnaugh Map Method (metode peta Karnaugh ) adalah metode untuk menyederhanakan persamaan aljabar Boolean. Metode ini pertama kali diperkenalkan oleh Maurice Karnaugh pada tahun 1953 yang merupakan penyederhanaan dari metode Veitch Chart (Kartu Veitch).



Langkah-langkah menggunakan metode peta Karnaugh adalah sebagai berikut: 1. Pastikan terlebih dahulu persamaan Boolen berada dalam bentuk standar. Dalam hal ini saya menggunakan standar SOP (Sum Of Product). Contoh :



2. Susun tabel kebenaran sesuai dengan persamaan diatas



3. Buat peta Karnaugh dengan jumlah kotak 2n dengan n = banyaknya variabel. Dari contoh di atas, terdapat 3 variabel sehingga kotak peta Karnaugh adalah 23 = 8 kotak. Dan masukkan minterm (m) dari tabel kebenaran ke dalam kotak yang sesuai.



4. Buat loop atau kelompok pada minterm-minterm yang berdekatan dengan banyaknya anggota kelompok 1, 2, 4, 8 atau 16 supaya dapat dihilangkan minterm yang berlawanan.



Pada tabel Karnaugh di atas terdapat kelompok dengan 2 anggotam minterm yaitu kelompok biru dan kelompok merah. Masing-masing kelompok beranggotakan 2 minterm. Maka persamaan minimum dapat diperoleh dari gabungan minterm yang ada pada tiap-tiap kelompok yaitu :



5. Tulis ulang bentuk minimum dari persamaan aljabar Boolean yaitu :



6. Buat rangkaian digital dengan menggunakan persamaan minumum pada no 5



Metoda peta Karnaugh sangat berguna dan efektif untuk mendesain rangkaian digital terutama untuk rangkaian-rangkaian digital dengan banyak variabel.



Dekoder BCD ke 7 segment jenis TTL adalah rangkaian yang berfungsi untuk mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan untuk penampil/display 7 segment yang bekerja pada tegangan TTL (+5 volt DC). Dekoder BCD ke 7 segmen yang digunakan adalah jenis TTL. Dekoder BCD ke 7 segmen jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan keluarga IC TTL 7448. Kedua IC TTL tersebut memiliki fungsi yang sama namun peruntukannya berbeda IC 7447 digunakan untuk driver 7 segment common anoda sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment karena selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7 segment. Konfigurasi Pin IC Dekoder BCD Ke 7 Segmen 7447 Dan 7448 Jalur input data BCD, pin input ini terdiri dari 4 line input yang mewakili 4 bit data BCD dengan sebutan jalur input A, B, C dan D. Jalur ouput 7 segmen, pin output ini berfungsi untuk mendistribusikan data pengkodean ke penampil 7 segmen. Pin output dekoder BCD ke 7 segmen ini ada 7 pin yang masing-masing diberi nama a, b, c, d, e, f dan g. Jalur LT (Lamp Test) yang berfunsi untuk menyalakan semua led pada penampil 7 segmen, jalur LT akan aktif pad saat diberikan logika LOW pad jalut LT tersebut. Jalur RBI (Riple Blanking Input) yang berfungsi untuk menahan sinyal input (disable input), jalur RBI akan aktif bila diberikan logika LOW. Jalur RBO (Riple blanking Output) yang berfungsi untuk menahan data output ke penampil 7 segmen (disable output), jalur RBO ini akan aktif pada sat diberikan logika LOW. Dalam aplikasi decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat masuk dan penampil 7-segmen dapat menerima data tampilan sesuai data BCD yang diberikan pada jalur input. 4. Rangkaian Aplikasi Dekoder BCD Ke 7Segmen Common Anoda (IC 7447) TTL BCD to 7 Segment Decoder CA, decoder BCD ke 7 segmen,aplikasi dekoder bcd ke 7segmen, driver penampil 7 segmen, konfigurasi penampil 7segmen, IC 7447, rangkaian IC7447, konfigurasi IC 7447, fungsi dekoder BCD ke 7 segment, skema IC7447, skema driver 7 segmen comon anoda, rangkaian driver 7 segmen common anoda, driver display common anoda,fungsi pin 7447, fungsi kaki ic 7447 Rangkaian Aplikasi Dekoder BCD Ke 7 Segmen Common Cathoda (IC 7448) aplikasi decoder bcd ke 7 segmen,rangkaian aplikasi dekoder bcd ke 7segmen, skema aplikasi dekoder bcd ke 7segmen, rangkaian IC7448, skema ic 7448, konfigurasi ic 7448, driver dispaly 7segmen common cathoda, dekoder bcd ke 7segmen common cathoda, fungsi pin ic 7448, konfiguarsi ic 7448, teori dekoder bcd ke 7 segmen, dekoder ttl bcd ke 7segmen, keluarga ic ttl 7447 7448. Untuk aplikasi yang terlihat pada kedua gambar diatas adalah teknik driver penampil 7segmen standar menggunakan decoder BCD ke 7 segmen TTL IC 7447 dan IC 7448. Fungsi resistor pada setiap jalur output dekoder BCD ke 7 segmen tersebut adalah sebagai pembatas arus maksimum yang mengalir pada LED penampil 7segmen dan arus yang mengalir pada IC dekoder BCD ke 7segmen yang digunakan dimana arus maksimum yang diperbolehkan maksimum 20 mA.



Seven Segment Display (7 Segment Display) adalah komponen Elektronika yang dapat menampilkan angka desimal melalui kombinasi-kombinasi segmennya. Seven Segment Display pada umumnya dipakai pada Jam Digital, Kalkulator, Penghitung atau Counter Digital, Multimeter Digital dan juga Panel Display Digital seperti pada Microwave Oven ataupun Pengatur Suhu Digital . Seven Segment Display pertama diperkenalkan dan dipatenkan pada tahun 1908 oleh Frank. W. Wood dan mulai dikenal luas pada tahun 1970-an setelah aplikasinya pada LED (Light Emitting Diode). Seven Segment Display memiliki 7 Segmen dimana setiap segmen dikendalikan secara ON dan OFF untuk menampilkan angka yang diinginkan. Angka-angka dari 0 (nol) sampai 9 (Sembilan) dapat ditampilkan dengan menggunakan beberapa kombinasi Segmen. Selain 0 – 9, Seven Segment Display juga dapat menampilkan Huruf Hexadecimal dari A sampai F. Segmen atau elemen-elemen pada Seven Segment Display diatur menjadi bentuk angka “8” yang agak miring ke kanan dengan tujuan untuk mempermudah pembacaannya. Pada beberapa jenis Seven Segment Display, terdapat juga penambahan “titik” yang menunjukan angka koma decimal. Terdapat beberapa jenis Seven Segment Display, diantaranya adalah Incandescent bulbs, Fluorescent lamps (FL), Liquid Crystal Display (LCD) dan Light Emitting Diode (LED). LED 7 Segmen (Seven Segment LED) Salah satu jenis Seven Segment Display yang sering digunakan oleh para penghobi Elektronika adalah 7 Segmen yang menggunakan LED (Light Emitting Diode) sebagai penerangnya. LED 7 Segmen ini umumnya memiliki 7 Segmen atau elemen garis dan 1 segmen titik yang menandakan “koma” Desimal. Jadi Jumlah keseluruhan segmen atau elemen LED sebenarnya adalah 8. Cara kerjanya pun boleh dikatakan mudah, ketika segmen atau elemen tertentu diberikan arus listrik, maka Display akan menampilkan angka atau digit yang diinginkan sesuai dengan kombinasi yang diberikan. Terdapat 2 Jenis LED 7 Segmen, diantaranya adalah “LED 7 Segmen common Cathode” dan “LED 7 Segmen common Anode”.  LED 7 Segmen Tipe Common Cathode (Katoda) Pada LED 7 Segmen jenis Common Cathode (Katoda), Kaki Katoda pada semua segmen LED adalah terhubung menjadi 1 Pin, sedangkan Kaki Anoda akan menjadi Input untuk masing-masing Segmen LED. Kaki Katoda yang terhubung menjadi 1 Pin ini merupakan



Terminal Negatif (-) atau Ground sedangkan Signal Kendali (Control Signal) akan diberikan kepada masing-masing Kaki Anoda Segmen LED.



 LED 7 Segmen Tipe Common Anode (Anoda) Pada LED 7 Segmen jenis Common Anode (Anoda), Kaki Anoda pada semua segmen LED adalah terhubung menjadi 1 Pin, sedangkan kaki Katoda akan menjadi Input untuk masingmasing Segmen LED. Kaki Anoda yang terhubung menjadi 1 Pin ini akan diberikan Tegangan Positif (+) dan Signal Kendali (control signal) akan diberikan kepada masingmasing Kaki Katoda Segmen LED.



C. Peracangan Disini saya menggunakan 4 inputan A, B, C, D dan output a, b, c, d, e, f, g. Tabel Kebenaran Common anoda, tampilan ke -2, 7 segment :



Input



Desimal 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15



A 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1



B 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1



C 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1



Output D 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1



a 0 1 0 0 1 0 0 0 0 0 0 1 0 1 0 0



b 0 0 0 0 0 1 1 0 0 0 0 1 1 0 1 1



c 0 0 1 0 0 0 0 0 0 0 0 0 1 0 1 1



d 0 1 0 0 1 0 0 1 0 0 1 0 0 0 0 1



e 0 1 0 1 1 1 0 1 0 1 0 0 0 0 0 0



7Led f 0 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0



g 1 1 0 0 0 0 0 1 0 0 0 0 1 0 0 0



0 1 2 3 4 5 6 7 8 9 A B C D E F



Dari tabel diatas saya menguraikan output data dengan menggunakan penyederhanaan K-maps A AB



B AB



CD 00 00 01 11 10



01 1



11



10



1 1 1



CD 00 00 01 11 10



01



11



1 1



10 1



1 1



1



C AB



D AB



E AB



F AB



G AB



CD 00 00 01 11 10



01



1



11



10 1



1



1



11



10



CD 00 00 01 11 10



01 1



1



1 1 1



CD 00 00 01 11 10



01 1



1



1



11 1 1



10



11 1 1



10 1



11



10



1



CD 00 00 01 11 10



01 1



1



CD 00 01 11 10



00 1



01 1



1 1



Dari tabel kebenaran output dapat ditemukan persamaan berikut : Dengan cara menggunakan Metode K-maps



D. Gambar Rangkaian



E. Daftar Komponen No



Nama Komponen



Tipe



Jumlah



1



7segment



-



1



2



Resistor



10 watt 22R



7



3



IC



7404



1



7410 7420 4



Logic State



-



1



F. Pengawatan Pada Proto-Board Referensi IC No 1



2



3



Gambar IC



Keterangan



tlaoibar Pengknwatan Persamaan / Rangkain dengan £ lutput a



G. SIMULASI RANGKAIAN 7SEG



INPUT A



B C



D



0



0



0 0



0



1



0



0 0



1



TAMPILAN



2



0



0 1



0



3



0



0 1



1



4



0



1 0



0



5



0



1 0



1



6



0



1 1



0



7



0



1 1



1



8



1



0 0



0



9



1



0 0



1



A



1



0 1



0



B



1



0 1



1



C



1



1 0



0



D



1



1 0



1



E



1



1 1



0



F



1



1 1



1



H. Analisa Data Pembahasan Dari persamaan aljabar yang telah saya buat diatas bisa digambarkan rangkaian yang terhubung pada 7segment. Pada percobaan ini saya menggunakkan 7segment common anoda, dengan logika 0 merupakan keadaan On atau bisa dikatakan Aktif. Pada saat keempat input biner A, B, C, & D saya beri logika 0, sesuai dengan table kebenaran tersebut diatas bahwa nilai yang akan ditampilkan oleh 7segment ialah angka 0. Dengan uraian sebagai berikut. Segment a : logika 0 (on) Segment b : logika 0 (on) Segment c : logika 0 (on) Segment d : logika 0 (on) Segment e : logika 0 (on) Segment f : logika 0 (on) Segment g : logika 0 (off) Sehingga simulasi yang didapat adalah sebagai berikut.



Pada 7segment display yang ditampilkan ialah angka 0. Dari gambar diatas menunjukkan



bahwa percobaan yang saya lakukan sesuai dengan tabel kebenaran yang telah saya buat diatas. Ketika input diberi logika 0 semua maka segment a-f berlogika 0 yang artinya On, dan segment g berlogika 1 yang artinya Off. Selanjutnya percobaan dilanjutkan sehingga display yang ditampilkan pada tabel kebenaran tidak ada cacat, dan posisi sesuai dengan gambar berikut.



I. Kesimpulan Rangkaian Dekoder Biner ke Hexadesimal berfungsi sebagai pengubah kode biner 4 bit kedalam bentuk bilangan hexadesimal. Dimana dalam desain perancangan kali ini, rangkaian dirancang hanya dengan menggunakan gerbang NOT dan NAND. Dari percobaan ini, saya dapat menyimpulkan ada 2 macam rangkaian yang ditampilkan oleh 7segment yaitu, penggunaan 7segment common anoda dan 7segment common katoda. Pada percobaan ini, saya menggunakkan 7segment common anoda dengan tampilan (b). Dimana pada 7segment common anoda sudah mendapat power sebesar 5V. Sehingga untuk mendapatkan tampilan (b), kita bisa merancang tabel kebenaran dimana logika 0 adalah keadaan On atau Aktif .



J. Lampiran Datasheet komponen ; 1. https://pdf1.alldatasheet.com/datasheet-pdf/view/50905/FAIRCHILD/7420.html 2. https://pdf1.alldatasheet.com/datasheet-pdf/view/7823/NSC/7410.html 3. https://www.ti.com/product/SN7400? utm_source=supplyframe&utm_medium=SEP& utm_c ampaign=not_alldatasheet&DCM=yes&dclid=CPj_qdr9xe8CFZY0twA dChcOaA 4. https://datasheetspdf.com/datasheet/7404.html